您现在所在位置: 主页 > PP电子新闻中心

公司资讯

Company information

行业动态

Industry dynamics

常见问题

Common Problem

pp电子:科普文:带你彻底了解半导体产业,详细解读中国芯片到底如何(二)(转载)

发布日期:2024-04-14 13:17 浏览次数:

接上文:

Coolwriter:科普文:带你彻底了解半导体产业,详细解读中国芯片到底如何(一)

现代电子封装包含的四个层次:零级封装——半导体制造的前工程,芯片的制造,晶体管互连7-500纳米;一级封装——半导体制造的后工程,芯片的封装,通常的封装是指一级封装,封装体内互连20-500微米;二级封装——在印刷线路板上的各种组装,基板上互连100-1000微米;三级封装——手机等的外壳安装,仪器设备内互连1000微米。

根据封装材料分类,可分为金属封装体(约占1%):外壳由金属构成,保护性好、但成本高,适于特殊用途;陶瓷封装体(约占2%):外壳由陶瓷构成,保护性好、但成本高,适于特殊用途;塑料封装体(约占93%):由树脂密封而成,成本低,占封装体的90%以上,被广泛使用。

目前主流市场封装形式粗略地可分为的两种:引线框架型和球栅阵列型。

在性能和成本的驱动下,封装技术发展呈现两大趋势:微型化和集成化。微型化是指单个芯片封装小型化、轻薄化、高I/O数发展;而集成化则是指多个芯片封装在一起。集成化并不是相互独立的,集成化可以根据不同的微型化组合形成多种解决方案。

微型化发展出FOWLP,封装的“先进制程”

封装技术经历了引线框架(DIPSOPQFPQFN)→WBBGA(焊线正装)→FCBGA(倒装)→WLP(晶圆级封装)的发展过程,可容纳的I/O数越来越多,封装的厚度和尺寸越来越小。FC和WLP属于先进封装。

WLP封装优点包括成本低、散热佳、电性优良、信赖度高,且为芯片尺寸型封装,尺寸与厚度皆可达到更小要求等。WLP封装另一项优势在于封装制程采取整批作业,因此晶圆尺寸越大,批次封装数量越多,成本能压得更低,符合晶圆厂由8吋转进12吋发展趋势,WLP专业封测厂利润空间也可提高。

WLP又经历了从Fan-in(Fan-inWLP一般称为WLCSP)向Fan-out(Fan-outWLP一般简称为FOWLP)的演进,Fan-out可实现在芯片范围外延伸RD以容纳更多的I/O数。

Fan-OutWLP技术是先将芯片作切割分离,然后将芯片正面朝下黏于载具(Carrier)上,并且芯片间距要符合电路设计的节距(Pitch)规格,接者进行封胶(Molding)后形成面板(Panel)。后续将封胶面板与载具分离,因为封胶面板为晶圆形状,又称重新建构晶圆(ReconstitutedWafer),可大量应用标准晶圆制程,在封胶面板上形成所需要的电路图案。由于封胶面板的面积比芯片大,不仅可以采用扇入(Fan-In)方式制作I/O接点,也可以采用扇出(Fan-Out)方式制作,如此便可容纳更多的I/O接点数目。

集成化发展出SIP,超越摩尔极限

随着摩尔定律发展接近极限,集成电路的集成化越来越高,呈现出两种集成路径,一是moremoore,即在设计和制造端将多个功能的系统集成在一个芯片上,即SOC技术(Systemonchip),同时封测端发展出的FO-WLP技术正好可以用来封装SOC芯片;二是morethanmoore,即是在封测端将多个芯片封装成一个,即SIP技术(SysteminPackage)。

SIP是从封装的立场出发,对不同芯片进行并排或叠加的封装方式,将多个具有不同功能的有源电子元件与可选无源器件,以及诸如MEMS或者光学器件等其他器件优先组装到一起,实现一定功能的单个标准封装件。SiP有效地突破了SoC在整合芯片途径中的限制,极大地降低了设计端和制造端成本,也使得今后芯片整合拥有了客制化的灵活性。

SIP封装并无一定形态,SIP封装可根据不同芯片排列方式与不同内部结合技术的搭配,生产定制化产品,满足客户定制化需求,例如采取多种裸芯片或模块进行平面式2D封装(MCM等)或3D(MCP、SatckDie、PoP、PiP等)封装,其内部的互连技术可以使用引线键合(WireBonding),也可使用倒装焊(FlipChip)或硅通孔(TSV)等,还可采用多功能性基板整合组件的方式,将不同组件内藏于多功能基板中(即嵌入式封装),最终实现功能整合。

TSV助力SIP向3D发展

TSV(ThroughSiliconVia)和WB金属线连接以及倒装FC中的bumping都是一种连接技术。TSV在芯片间或晶圆间制作垂直通道,实现芯片间垂直互联。相比引线键合技术以及倒转片技术,TSV连线长度缩短到芯片厚度,传输距离减少到千分之一;可以实现复杂的多片全硅系统集成;可以显著减小RC延迟,提高计算速度;显著降低噪声、能耗和成本。

TSV最早应用于CIS封装,目前成本较高,主要应用于图像传感器、转接板、存储器、逻辑处理器+存储器、RF模组、MEMS晶圆级3D封装等高端封装。未来若在成本控制方面有所突破,相信TSV技术大有取代引线键合互联之势。pp电子

除了先FOWLP和SIP2.5D/3D集成电路封装,还有一种先进封装技术称为嵌入式封装(EmbeddedDie),即在PCB板中的嵌入芯片。智能手机中的DC/DC变换器是首款出货量显著嵌入式封装产品。嵌入式芯片适用的汽车、医疗和航空航天等领域,为更长的认证时间和监管认证周期而进展缓慢。

先进封装技术(FC、FOWLP、SIP、TSV)重构了封测厂的角色。FOWLP使得封测厂向上延伸到制造工序;SIP和TSV使得封测厂向下游延伸到微组装(二级封装)。

苹果iPhone7的A10处理器采用了台积电的FoWLP和SIP相结合的技术,台积电内部称作InFoWLP技术。A10处理器是将应用处理器与移动DRAM整合在同一个封装中,相比传统POP封装,由于InFOWLP封装不使用基板,可减少0.6厘米的厚度,为未来几年的移动封装技术立下新的标竿。

苹果AppleWatchS系列芯片是最早大规模使用SiP技术的典型的应用。同时iPhone中也具备多个SiP模组,在iPhone7中SiP模组多达5个。

从市场上看,根据Yole数据,先进封装2016年至2022年的年复合增长率达到7%,高于整个封装行业(3-4%),半导体行业(4-5%),PCB行业(2-3%)以及全球电子产品工业(3-4%)和全球国内生产总值(2-3%)。发展最快的先进封装技术是Fan-Out(36%),其次是2.5D/3DTSV(28%)。到2022年,扇出预计将超过3亿美元,到2021年预计2.5D/3DTSV将超过1亿美元。FC技术目前占比仍然是最大的,2017年达到19.6亿美元,占先进包装收入的81%。随着Fan-Out封装的渗透提升,到2020年预计FC市场份额将下降至74%。

具体看FOWLP市场,FOWLP市场包括两个部分,一是单芯片扇出封装(coreFO),应用于原先Fan-in无法应用的通讯芯片、电源管理IC等大宗应用市场;二是高密度扇出封装(HDFO),FoWLP可作为多芯片、IPD或无源集成的SiP解决方案,应用于AP以及存储芯片。如台积电的InFO技术在16nmFinFET上可以实现RF与Wi-Fi、AP与BB、GPU与网络芯片三种组合。

根据Yole数据,预计2017年FOWLP市场达到14亿美元,2022年市场规模将上升到23亿美元,未来年复合成长率达20%。

国内封测三强进入第一梯队,抢先布局先进封装

中国半导体要赶上世界先进水平大约还需要十年时间,但封装技术门槛相对较低,国内发展基础相对较好,所以封测业追赶速度比设计和制造更快。中国半导体第一个全面领先全球的企业,最有可能在封测业出现。

成长迅速,大陆封测三巨头快速追赶。内生增长+外延并购双向驱动,长电+华天+通富过去十年已经完成了基础框架搭建,内生稳步快速增长;2014年以来,相继华天收购美国FCI,长电收购星科金朋,通富微电收购AMD苏州和槟城两座工厂,完成规模体量的快速扩张。

根据拓墣产业研究院10月份的报告显示,在专业封测代工的部分,2017年全球前十大专业封测代工厂商营收,前五名依次为日月光、安靠、长电科技、矽品和力成,后五名依次为:天水华天、通富微电、京元电、联测和南茂科技。长电科技、华天科技、通富微电组成大陆封测三强。

封测产业高端化,技术上完成国产替代。国内封测产业已经具备规模和技术基础。目前大陆厂商与业内领先厂商的技术差距正在缩小,基本已逐渐掌握最先进的技术,大陆厂商的技术劣势已经不明显。业内领导厂商最先进的技术大陆厂商基本已逐渐掌握,比如凸快技术、晶圆级封装和3D堆叠封装等。在应用方面,FC封装技术大陆三大封测厂均已实现批量出货,WLP晶圆级封装也有亿元级别的订单,SiP系统级封装的订单量也在亿元级别。

根据YoleDevelopment统计,2016年全球先进封装供应商排名中,中国长电科技将以7.8%的市占率超过日月光、安靠(Amkor)、台积电及三星等,成为全球第三大封装供应商。

从短期看,日月光合并硅品,美国安靠收购日本J-Device,体量庞大,长电目前处于对星科金朋的整合消化期,华天和通富距离第一梯队还有一段差距,短期难以从规模上超越。从长远看,国内封测技术已经跟上全球先进步伐,随着国内上游芯片设计公司的崛起,下游配套晶圆建厂逻辑的兑现,辅以国家政策和产业资本的支持,国内封测企业全面超越台系厂商,是大概率事件。

半导体集成电路制造过程及其复杂,需要用到的设备包括硅片制造设备、晶圆制造设备、封装设备和辅助设备等。

硅片制造设备

以IC集成电路用的300毫米(12寸)大硅片为例,生产工艺流程如下:拉晶—滚磨—线切割—倒角—研磨—腐蚀—热处理—边缘抛光—正面抛光—清洗—外延—检测。晶体生长设备直接决定了后续硅片的生产效率和质量,是硅片生产过程中的重中之重。硅片尺寸越大,纯度越高,对生产工艺和设备的要求也就越高。目前国产单晶炉生产的硅片良率在50%左右,进口单晶炉能达到90%以上,国产设备在技术上还有较大提升空间。

晶盛机电是目前国内唯一能生产大尺寸单晶炉的厂商。目前在半导体级别8英寸单晶炉领域已成功实现进口替代,12英寸单晶炉也进入小批量产阶段。

晶圆制造设备

在晶圆制造中,总共有七大生产区域,分别是扩散(ThermalProcess)、光刻(Photo-lithography)、刻蚀(Etch)、离子注入(IonImplant)、薄膜生长(DielectricDeposition)、抛光(CMP,即化学机械抛光)、金属化(Metalization),共涉及7大类设备:扩散炉(氧化),光刻机,刻蚀机,离子注入机,薄膜沉积设备,化学机械抛光机和清洗机。

根据SEMI的数据,以一座投资规模为15亿元美金的晶圆厂为例,晶圆厂70%的投资用于购买设备(约10亿元美金),设备中的70%是晶圆的制造设备,封装设备和测试设备占比约为15%和10%。晶圆制造设备中,光刻机,刻蚀机,薄膜沉积设备为核心设备,分别占晶圆制造环节设备成本的30%,25%,25%。

美日荷三国垄断,半导体设备行业集中度非常高

全球半导体设备十强里面,只有美日荷三个国家的企业入围。2016年前五大厂商应用材料、ASML、LamResearch、TokyoElectron和KLA-Tencor合计市场份额高达92%,其中应用材料AMAT市场占有率为24%。

荷兰ASML几乎垄断了高端领域的光刻机,市场份额高达80%。ASML新出的EUV光刻机可用于试产7nm制程,价格高达1亿美元。AMAT在CVD设备和PVD设备领域都保持领先,LamResearch是刻蚀机设备领域龙头。

国产设备星星之火可以燎原

随着我国半导体产业持续快速发展,国内半导体设备业呈现出较快发展的势头。在国家科技重大专项以及各地方政府、科技创新专项的大力支持下,国产半导体设备销售快速稳步增长,多种产品实现从无到有的突破,甚至有些已经通过考核进入批量生产,在国内集成电路大生产线上运行使用。

中电科:在离子注入机和CMP(化学机械抛光机)领域能力较强。

>离子注入机:2016年推出的45-22nm低能大束流离子注入机在2017年也在中芯国际产线进行验证,验证通过后,将会批量出货,进一步提高中芯国际产线离子注入机国产化率。

>CMP:2017年11月21日,电科装备自主研发的200mmCMP商用机完成内部测试,发往中芯国际天津公司进行上线验证,这是国产200mmCMP设备首次进入集成电路大生产线。

北方华创:在氧化炉、刻蚀机、薄膜沉积设备和清洗设备领域能力较强。

>氧化炉:2017年11月30日,北方华创下属子公司北方华创微电子自主研发的12英寸立式氧化炉THEORISO302MoveIn长江存储生产线,应用于3DNANDFlash制程,扩展了国产立式氧化炉的应用领域。

>刻蚀机:2016年研发出了14nm工艺的硅刻蚀机,目前正在中芯国际研发的14nm工艺上验证使用。2017年11月,研发的中国首台适用于8英寸晶圆的金属刻蚀机成功搬入中芯国际的产线。

>薄膜沉积设备:28nm级别的PVD设备和单片退火设备领域实现了批量出货,14nm级别的ALD,ALPVD,LPCVD,HMPVD等多种生产设备正在产线验证中。

>清洗机:自研的12英寸单片清洗机产品主要应用于集成电路芯片制程,2017年8月7日成功收购Akrion公司后,北方华创微电子的清洗机产品线将得以补充,形成涵盖应用于集成电路、先进封装、功率器件、微机电系统和半导体照明等半导体领域的8-12英寸批式和单片清洗机产品线。

中微半导体:在介质刻蚀机、硅通孔刻蚀机以及LED用MOCVD领域能力较强。

>介质刻蚀机:目前已经可以做到22nm及其以下,14nm也在产线进行验证,同时在推进5nm的联合研究。

>硅通孔刻蚀机:主要用于集成电路芯片的TSV先进封装。

>MOCVD:公司的MOCVD达到世界先进水平,实现了对美国的VEECO和德国的爱思强产品的进口替代,客户为三安光电等led芯片厂商。截止2017年10月,其MOCVD设备PrismoA7机型出货量已突破100台。

上海微电子:国内唯一的一家从事光刻机研发制造的公司。目前制造用光刻机只能做到90nm,与主流65nm以下还有较大差距。不过,封装使用的光刻机,达到1-2微米就可以使用,上海微电子研发制造的500系列步进投影光刻机,面向IC后道封装和MEMS/NEMS制造领域,国内市场占有率达80%以上。

盛美半导体:在清洗机领域能力较强。公司的SAPS技术最高可以应用于65nm制程的硅片清洗;TEBO技术可以实现对FinFET,DRAM,3DNAND,实现覆盖16nm-19nm的制程,产品已经批量应用于上海华力微电子的产线。此外,公司2017年5月在合肥投资3000万美元建立研发中心,与合肥长鑫和兆易创新一起开发DRAM技术。

晶盛机电在半导体级8英寸单晶炉领域已成功实现进口替代。捷佳伟创、北京京运通、天通吉成的产品主要应用于光伏产业。

此外,长川科技在分选机、检测机领域能力较强。2016年公司拥有机台产能合计400台,产量448台,销售426台,产能利用率达112%,产销率95.9%,实现产销两旺。


集成电路制造过程中,每一个环节都离不开化学材料,按产业链工艺环节可以将半导体材料分为晶圆制造材料和封装材料。

晶圆制造材料包括硅片、光罩、高纯化学试剂、特种气体、光刻胶、靶材、CMP抛光液和抛光垫等。

近年随着出货片数成长,中国半导体制造材料营收也由2013年230亿美元成长到2016年的242亿美元,年复合成长率约1.8%。从细项中可看出硅晶圆销售占比由2013年35%降到2016年的30%。根据拓墣产业研究院预计,2017年中国半导体材料市场,增长幅度将超过10%。

封装材料包括引线框架、封装基板、陶瓷封装材料、键合丝、包装材料、芯片粘结材料等,其中封装基板是占比最大。由于中国IC产业的快速发展,中国本土封装企业近年来呈现快速增长,带动中国半导体封装材料市场规模快速扩大,智研咨询预计中国市场半导体封装材料2017年的市场规模为352.9亿元,相比于2015年的261.3亿元,增长35.06%。

国内厂商在小尺寸硅片、光刻胶、CMP材料、溅射靶材等领域已初有成效。比如8英寸硅片领域的金瑞泓、国盛电子和有研半导体,光刻胶相关领域的江化微,靶材领域的江丰电子和阿石创,CMP抛光材料的安集微电子和鼎龙股份。

在2016年中国半导体材料十强企业中,江丰电子、有研新材、上海新阳和江化微四家为上市公司。

江丰电子:国内高纯溅射靶材的行业龙头,产品包括铝靶、钛靶、钽靶、钨钛靶等,主要应用于超大规模集成电路芯片、液晶面板、薄膜太阳能电池制造的物理气相沉积(PVD)工艺,用于制备电子薄膜材料。

有研新材:主要从事稀土材料、高纯材料和光电材料的生产和经营,子公司有研亿金是国内少有的能够生产金属靶材的企业,逐步占领了国内集成电路4-6英寸线市场的靶材,并正在进入8英寸线以上市场。

上海新阳:公司主导产品包括引线脚表面处理电子化学品和晶圆镀铜、清洗电子化学品,参股子公司上海新昇是内地唯一具备12英寸大尺寸硅片制造能力的企业,目前有效产能为2万片/月,已经实现试生产,项目的目标是在2018年6月达到15万片/月的产能。目前,公司已经与中芯国际、武汉新芯、华力微电子三公司签署了采购意向性协议,销售前景明确。

江化微:公司主要生产超净高纯试剂、光刻胶及光刻胶配套试剂等专用湿电子化学品。

大尺寸硅片国产化指日可待

除了上海新昇之外,国内还有宁夏银和、浙江金瑞泓、郑州合晶、西安高新区项目等企业计划或已开始建设12英寸大硅片的生产计划,且合计月产能超过百万片。

在半导体行业景气度高企,物联网、5G、AI、汽车电子等创新应用驱动下,国家政策大力支持,产业逐渐向国内转移,国产化替代加速的大背景下,国内半导体产业快速发展,相关公司有望深度收益。设计:兆易创新,紫光国芯,圣邦股份;制造:中芯国际;封测:长电科技,华天科技;分立器件:扬杰科技;设备:北方华创,长川科技;材料:江丰电子,上海新阳。

1.兆易创新:NorFlash&DRAM龙头

公司是中国唯一的存储芯片全平台公司。主要产品为NORFlash、NANDFlash及MCU,广泛应用于手持移动终端、消费类电子产品、个人电脑及周边、网络、电信设备、医疗设备、办公设备、汽车电子及工业控制设备等各个领域。

牵手合肥产投,进军DRAM领域。公司2017年10月与合肥产投签署了《关于存储器研发项目之合作协议》,将开展19nm制程工艺存储器(含DRAM等)的研发项目,预算约为180亿元人民币,目标是在2018年底前研发成功。

入股中芯国际,战略合作形成虚拟IDM。2017年11月,公司参与认购中芯国际配售股份,公司作为fabless厂与晶圆代工厂中芯国际战略合作形成虚拟IDM,在产能上将有望优先获得中芯国际的支持,从而提高公司产品的占有率。

收购思立微,形成MCU+存储+交互解决方案。2018年3月,公司收购国内市场领先的智能人机交互解决方案供应商思立微,其产品以触控芯片和指纹芯片等新一代智能移动终端传感器SoC芯片为主。本次交易将一定程度上补足公司在传感器、信号处理、算法和人机交互方面的研发技术,提升相关技术领域的产品化能力,在整体上形成完整的MCU+存储+交互系统解决方案,为上市公司进一步快速发展注入动力。

我们认为公司牵手合肥产投,进军DRAM领域;入股中芯国际,形成虚拟IDM,提高产能扩充能力;收购思立微,形成MCU+存储+交互解决方案,为上市公司进一步快速发展注入动力。建议关注。

风险提示:半导体行业景气度下降,DRAM项目发展不及预期,收购思立微协同效应不及预期。

2.紫光国芯:打造NAND龙头

紫光国芯是紫光集团旗下半导体行业上市公司。紫光集团有三个上市平台,分别为紫光股份、紫光国芯和ST紫学。紫光国芯主要产品包括智能芯片、特种行业集成电路和存储器芯片。

DRAM已量产。公司的DRAM存储器芯片已形成了较完整的系列,包括SDR、DDR、DDR2和DDR3,并开发相关的模组产品。目前,公司的DDR4内存模组已经开始量产并且能够长期供货。虽然目前产品产量很小,市场份额不大,但DRAM为国内稀缺,进口替代潜力空间大。此外,公司开发完成的NANDFlash新产品也已开始了市场推广。

依托长江存储打造NAND龙头。2016年12月,公司公告称紫光国芯拥有收购长江存储股权的权利;2017年7月,公司公告称长江存储的存储器芯片工厂项目投资规模较大,目前尚处于建设初期,短期内无法产生销售收入,公司认为收购长江存储股权的条件尚不够成熟,终止收购长江存储。我们认为,随着条件成熟,不排除公司重启收购的可能,届时有望成为国内NAND龙头。

我们认为公司DRAM已量产,进口替代潜力空间大;未来有望收购长江存储,成为国内NAND龙头。建议关注。

风险提示:半导体行业景气度下降,存储器业务发展不及预期。

3.圣邦股份:模拟芯片龙头

公司是国内模拟芯片龙头,专注于高性能、高品质模拟集成电路研发和销售。公司的通用模拟IC产品性能优良、品质卓越,可广泛应用于智能手机、PAD、数字电视、DVD、数码相机、笔记本电脑、可穿戴式设备、各种消费类电子产品以及车载电子、工业控制、医疗设备、测试仪表等众多领域。

募投加码电源管理类和信号链类模拟芯片。2017年6月6日,公司成功登陆深交所创业板,募集资金4.47亿元,用于电源管理类模拟芯片开发及产业化项目、信号链类模拟芯片开发及产业化项目及研发中心建设项目等。

模拟芯片市场高速增长。根据ICInsights数据显示,2017-2022年整体集成电路市场年复合增长率为5.1%。在集成电路市场的四大产品类别:模拟、逻辑、存储和微元件中,模拟芯片市场增速最高达到6.6%。

公司发展进入快车道。一方面,作为国家重点培育和发展的战略性新兴产业的支撑和基础,集成电路产业未来发展空间巨大;另一方面,公司经过多年发展,掌握了先进的模拟芯片设计与开发技术,产品品质达到世界先进水平,同时还拥有丰富的上下游资源。公司有望在未来广阔的模拟芯片行业市场抢占制高点。

我们认为公司募投加码电源管理类和信号链类模拟芯片,将受益于模拟芯片市场高速增长,公司发展进入快车道。建议关注。

风险提示:半导体行业景气度下降,模拟芯片业务发展不及预期。

4.中芯国际:晶圆代工龙头

中芯国际是世界领先的集成电路晶圆代工企业之一,也是中国内地规模最大、技术最先进的集成电路晶圆代工企业,提供0.35微米到28纳米不同技术节点的晶圆代工与技术服务。公司之前凭藉高产能利用率推动收入和盈利双增长,目前已进入战略转型期,为下一阶段的成长准备好技术和工厂。pp电子

技术:梁孟松效应开始显现,28nm与14nm进展顺利。2017Q4营收中28nm占比已经提升至11.3%。梁孟松上任后调整更新了FinFET规划,3DFinFET工艺将锁定高性能运算、低功耗芯片应用,目前正在积极进行中。14nm则目前于2019年上半年投产,相关产品将具备更高性能、成本更低、技术导入更容易,也更容易融入设备中。

工厂:中芯南方为14nm量产做好准备。2018年1月,中芯国际增资中芯南方,持股比例变为50.1%,国家大基金和上海集成电路基金分别拥有中芯南方27.04%和22.86%的股权,分别成为第二和第三大股东,预计之后6月和12月会再次进行外部注资10亿美元。中芯南方产能就是专门为公司14nm准备,目标是产能达至每月35000片晶圆。

此外,公司营收来源越来越多样化。2017年汽车和工业应用收入比2016年收入翻番。未来成长动力包括:28nm、闪存、指纹识别传感器和电源管理芯片、汽车和工业应用等。

我们认为公司在2017年28nm产品明显放量标志着其技术及良率瓶颈期突破,28nm营收贡献将逐渐增加,未来相当长时间成为公司营收增长的主要来源。建议关注。

风险提示:中国区晶圆代工竞争加剧,28nm客户拓展不及预期。

5.长电科技:国内封测龙头

公司是国内半导体封装测试行业龙头企业。通过并购星科金朋,公司跻身全球半导体封装行业前三,同时形成了各具特色的七大基地。新加坡厂(SCS)拥有世界领先的Fan-outeWLB和高端WLCSP;韩国厂(SCK)拥有先进的SiP、高端的fcBGA、fcPoP;长电先进(JCAP)的主力产品有FO-WLP、WLCSP、fcBump;星科金朋江阴厂(JSSC)拥有先进的存储器封装;长电科技C3厂的主力产品有高引脚BGA、QFN产品和SiP模组;滁州厂以小信号分立器件、WB引线框架产品为主;宿迁厂以脚数较低的IC和功率器件为主。

原长电稳定增长,星科金朋快速回升。剔除收购星科金朋,近几年公司的营收、利润增长稳定。在高端领域,长电先进在全球WLCSP和Bumping的产能和技术上继续保持领先优势;在中低端领域,滁州和宿迁厂产品结构的进一步调整和产能利用率的提升。JSCK(长电韩国)得益于SIP等先进封装新产品开发进展顺利,订单回流效果显著。星科金朋已完成上海厂向无锡搬迁工作,结束两地生产运营,将大幅降低相关费用,盈利能力有望快速回升。

公司的封测龙头地位将更加稳固。一方面,通过收购,长电科技的规模优势和星科金朋的技术和客户优势实现互补,随着整合进程逐步完成,协同效应逐渐显现。另一方面,通过定增,大基金成为第一大股东,中芯国际成为第二大股东,虚拟IDM形式初露端倪,未来中芯国际和长电科技的上下游配套协同发展值得期待。全球半导体行业景气度高企和全球晶圆厂向国内转移是公司业绩增长的重要驱动力,将使得长电科技的封测龙头地位更加稳固。

我们认为公司作为国内封测龙头,原长电保持稳定增长,星科金朋整合稳步推进,与中芯国际战略合作,未来有望率先受益于行业景气度高企和晶圆厂向大陆转移,驱动公司快速发展。建议关注。

风险提示:半导体行业景气度下降,星科金朋协同效应不及预期。

6.华天科技:国内封测第二

公司集成电路年封装规模和销售收入均位列我国同行业上市公司第二位。公司三地布局,三地定位不同、技术不同、客户不同,形成从低端、中高端到先进封装的全覆盖。天水厂夯实传统引线框架封装,进一步发挥规模优势;西安厂主攻QFN和BGA等中高端封装,导入指纹识别、MEMS、CPU等新产品封装;昆山厂布局TSV、Bumping及FOWLP等先进封装技术。

掌握多种先进封装技术。公司通过实施国家科技重大专项02专项等科技创新项目以及新产品、新技术、新工艺的不断研究开发,自主研发出FC、Bumping、MEMS、MCM(MCP)、WLP、SiP、TSV、Fan-Out等多项集成电路先进封装技术和产品,随着公司进一步加大技术创新力度,公司的技术竞争优势将不断提升。

新建产能释放促进公司发展。公司三大募投项目到2017上半年分别完成了94.76%、98.08%和83.91%,非募投项目《FC+WB集成电路封装产业化项目》完成了98.30%,投资顺利、进展迅速,体现了公司优秀的项目把控能力。随着新建产能的释放,公司未来一段时期将继续保持强劲增长。

我们认为公司已研发出多种先进封装技术,随着新建产能释放,公司业绩有望保持强劲的增长。建议关注。

风险提示:半导体行业景气度下降,先进封装业务发展不及预期。

7.扬杰科技:分立器件龙头

公司是国内分立器件IDM龙头,主要产品包括二极管、整流桥、电力电子模块等半导体功率器件,主要用于汽车电子、LED照明、太阳能光伏、通讯电源、开关电源、家用电器等多个领域。

内生驱动产品不断升级。公司4寸产线产能扩产一倍,效率不断提升;6寸产线已于2017年底实现盈亏平衡,2018年底可做到第一期满产,有望持续提升盈利能力;战略布局8寸线,MOSFET产品进展顺利,最终目标实现IGBT芯片和IPM功率的模组突破。

外延驱动向上游整合。2017年12月,公司收购成都青洋电子,获得稳定外延片供应,将IDM模式再向上游扩展。成都青洋年产1200万片8英寸以下单晶硅切片、磨片和化学腐蚀片的生产线,产品质量及性能位于行业领先水平。业绩承诺实现净利润为:2018年不低于1280万元,2019年不低于1480万元。

下游需求旺盛助力公司发展。随着光伏及新能源汽车等下游行业发展迅速,功率半导体市场需求旺盛。公司在光伏及新能源汽车领域深耕多年,产线对照行业标准建立,并且建立了好良好的客户关系,有望快速导入相关产品。此外,公司战略布局高端SiC芯片及器件,未来有望打开千亿级市场空间。

我们认为公司内生驱动产品不断升级,外延驱动向上游整合青洋电子,延伸到材料领域,下游需求旺盛将助力公司发展。建议关注。

风险提示:公司产品研发不及预期,清洋电子协同效应不及预期。

8.北方华创:设备龙头

北方华创是由七星电子和北方微电子战略重组而成,是目前国内集成电路高端工艺装备的龙头企业。公司拥有半导体装备、真空装备、新能源锂电装备及精密元器件四个事业群,为半导体、新能源、新材料等领域提供全方位整体解决方案。公司半导体装备产品包括刻蚀设备、PVD设备、CVD设备、氧化/扩散设备、清洗设备、新型显示设备、气体质量流量控制器等。

半导体装备各产品齐头并进。氧化炉:2017年11月30日,公司自主研发的12英寸立式氧化炉THEORISO302MoveIn长江存储生产线,应用于3DNANDFlash制程,扩展了国产立式氧化炉的应用领域。刻蚀机:2016年研发出了14nm工艺的硅刻蚀机,目前正在中芯国际研发的14nm工艺上验证使用。2017年11月,研发的中国首台适用于8英寸晶圆的金属刻蚀机成功搬入中芯国际的产线。薄膜沉积设备:28nm级别的PVD设备和单片退火设备领域实现了批量出货,14nm级别的ALD,ALPVD,LPCVD,HMPVD等多种生产设备正在产线验证中。清洗机:自研的12英寸单片清洗机产品主要应用于集成电路芯片制程,2017年8月成功收购Akrion公司后,公司的清洗机产品线将得以补充,形成涵盖应用于集成电路、先进封装、功率器件、微机电系统和半导体照明等半导体领域的8-12英寸批式和单片清洗机产品线。

真空装备、锂电装备、精密元器件稳定发展。真空装备:随着新材料行业的发展,对超高温、超高压真空设备需求量的增加,目前公司已有多款产品面向新材料行业推出,已大量应用于国内、外一流企业。应用于光伏产业的单晶炉,公司进行了升级换代,装料量实现了从50KG到300KG里程碑式的跨越,市场竞争力显著提升。锂电装备:目前,公司已经为全国95%以上的锂离子电池研究院所、生产企业提供了电池制造装备,随着新能源汽车行业快速发展,锂电装备业务有望深度收益。精密元器件:近年来,通过自主创新开发的高精密高稳定金属膜固定电阻器、双极性片式钽电容器、石英晶体振荡器、石英MEMS陀螺、负载点电源模块等产品,以优异的性能获得各界客户的信赖,不断实现进口替代。

我们认为公司作为半导体设备龙头,各产品齐头并进,真空装备、锂电装备、精密元器件稳定发展。建议关注。

风险提示:半导体行业景气度下降,公司新产品推进不及预期。

9.长川科技:封测设备龙头

公司是国内半导体封测设备龙头,目前主要产品有两类,分别是测试机和分选机。

产品高性价比赢得客户。公司产品主要面向下游封装测试企业、晶圆制造企业、芯片设计企业和测试代工厂等。在优异性能和高性价比等优势的加持下,已获得国内外客户的使用和认可。传统客户包括长电科技、华天科技、通富微电、士兰微、华润微电子等,国际龙头大厂日月光亦开始导入公司测试和分选设备,将为公司有效打开国际市场。

三大能力持续加强。1)新产品生产能力:积极拓展探针台、数字测试机等一系列新产品,深化客户服务的广度,打造完整的解决方案体系;2)新技术研发能力:面向未来五大方向开展前沿研究,包括模拟IC测试技术、高压大功率测试技术、数字测试技术、多类别自动测试技术、多维度高速高精定位技术。通过以上技术的研发,公司将在测试技术演进的高速化、一体化、智能化过程中,优先卡位前沿发展方向,不断拓宽设备的测试范围;3)积极扩展技术和销售服务团队,保证客户精准覆盖,快速提升响应能力。

我们认为国内半导体产业处于加速发展阶段,晶圆厂建设大幅提速,封测国产化进程加快,资本开支规模放大,公司的封测设备国产替代空间大。同时,公司未来将渗透更多的测试类相关产品,包括晶圆检测用探针台,封装用倒装机、预封装切割机等新设备,发展空间广阔。建议关注。

风险提示:半导体行业景气度下降,公司新产品推进不及预期。

10.江丰电子:溅射靶材龙头

公司是国内溅射靶材龙头。公司主要产品为集成电路芯片制造用超高纯金属材料及溅射靶材,填补了国内的技术空白,打破了美、日跨国公司的垄断。溅射靶材产品包括铝靶、钛靶、钽靶、钨钛靶等,主要应用于超大规模集成电路芯片、液晶面板、薄膜太阳能电池制造的物理气相沉积(PVD)工艺,用于制备电子薄膜材料。

靶材产品质量卓越,获台积电认可。公司从2009年开始和台积电建立合作关系,持续向台积电6寸、8寸和12寸晶圆厂供应靶材。公司坚持技术创新和产品升级,持续向台积电供应优质的半导体溅射靶材,依靠卓越的品质和服务,依托紧密的技术交流,与台积电建立了相互信任、合作共赢的良好战略关系,不断扩大在台积电的市场份额。公司在台积电2017年第三季度靶材供应商品质评比中位列第一名。

国产化CMPPad赢得首张订单。CMP研磨垫具有产品验证周期长、国外寡头垄断等特点。2016年公司与美国嘉柏合作CMPPad项目,并成功取得了本土主流芯片生产厂商的认证。2017年11月,公司赢得了第一张国产CMP研磨垫的订单。

我们认为公司作为国内溅射靶材龙头,靶材产品质量卓越获台积电认可,国产化CMPPad赢得首张订单,有望推动公司业绩增长。建议关注。

风险提示:半导体行业景气度下降,公司CMPPad产品推进不及预期。

11.上海新阳:材料龙头pp电子

公司是国内半导体材料龙头企业。公司主要产品包括引线脚表面处理电子化学品和晶圆镀铜、清洗电子化学品,可广泛应用于半导体制造、封装领域。

持续巩固半导体材料龙头地位。公司逐步形成了其在晶圆级封装领域材料和设备的配套优势。在传统封装领域,公司晶圆划片刀产品从2017年开始逐步放量,已经实现盈利。在半导体制造领域,晶圆化学品持续放量继续保持高速增长,晶圆化学品已经进入中芯国际、无锡海力士、华力微电子、通富微电、苏州晶方、长电先进封装等客户,其中在芯片铜互连电镀液产品方面已经成为中芯国际28nm技术节点的Baseline,无锡海力士32nm技术节点的Baseline;用于晶圆制程的铜制程清洗液和铝制程清洗液也都分别开始供货。此外,已经被台湾积体电路制造公司(TSMC)列入合格供应商名录,并正在进行产品验证。在IC封装基板领域,上海新阳的电镀铜添加剂产品仍处于少量供货阶段。另外,参股子公司新阳硅密(上海)半导体技术有限公司的晶圆湿制程设备已经进入中芯国际等客户。

大硅片项目值得期待。公司参股子公司上海新昇半导体科技有限公司300mm大硅片项目,一期15万片/月的产能,预计在2018年年中实现达产。总规划产能为60万片/月,预计在2021年实现满产。公司从2017年第二季度已经开始向中芯国际等芯片代工企业提供样片进行认证,挡片、陪片、测试片等产品已实现销售。目前,公司已经与中芯国际、武汉新芯、华力微电子三家公司签署了采购意向性协议,如果大硅片进展顺利,将有望成为公司业绩增长的重要驱动力。

我们认为公司在半导体材料领域龙头地位显著,各项产品进展顺利;大硅片项目值得期待,将有望成为公司业绩增长的重要驱动力。建议关注。

风险提示:半导体行业景气度下降,大硅片项目推进不及预期。

目前我国对半导体产业的政策支持文件有:《国家集成电路产业发展推进纲要》及《中国制造2025》。《国家集成电路产业发展推进纲要》给予了IC产业相应的政策支持、财税优惠, 设立了大基金。 《中国制造2025》强调,最终在市场终端打造集IC设计、IC制造、半导体材料与设备、IP 与设计工具四者为一体的国际综合品牌的目标。 其中“加强海外并购”被纳入政府政策支持项目。

国产芯追逐行业大鳄的道路曲折而漫长,值得欣慰的是,在中兴事件之后,业界很多理智的声音与观点出来了。虽然知道路漫漫其修远,但是吾辈上下而求索,加上摩尔定律面临失效所带来的契机,总有一天我们能够真正实现从“跟跑”、“并跑”到“领跑”。




0717-6850363